Increase MAX_REGISTER_SIZE to 32.

2010-02-03  H.J. Lu  <hongjiu.lu@intel.com>

	* defs.h (MAX_REGISTER_SIZE): Increase to 32.
This commit is contained in:
H.J. Lu 2010-02-03 13:48:17 +00:00
parent f3d55a94f3
commit be52b7566d
2 changed files with 5 additions and 1 deletions

View file

@ -1,3 +1,7 @@
2010-02-03 H.J. Lu <hongjiu.lu@intel.com>
* defs.h (MAX_REGISTER_SIZE): Increase to 32.
2010-02-02 Tom Tromey <tromey@redhat.com>
* valops.c (value_cast_structs): Try downcasting using the RTTI

View file

@ -1023,7 +1023,7 @@ extern void *alloca ();
/* Maximum size of a register. Something small, but large enough for
all known ISAs. If it turns out to be too small, make it bigger. */
enum { MAX_REGISTER_SIZE = 16 };
enum { MAX_REGISTER_SIZE = 32 };
/* Static target-system-dependent parameters for GDB. */